Index index by Group index by Distribution index by Vendor index by creation date index by Name Mirrors Help Search

iverilog-12.0-2.fc39 RPM for s390x

From Fedora 39 for s390x / i

Name: iverilog Distribution: Fedora Project
Version: 12.0 Vendor: Fedora Project
Release: 2.fc39 Build date: Thu Jul 20 09:51:58 2023
Group: Unspecified Build host: buildvm-s390x-26.s390.fedoraproject.org
Size: 7302597 Source RPM: iverilog-12.0-2.fc39.src.rpm
Packager: Fedora Project
Url: http://iverilog.icarus.com
Summary: Icarus Verilog is a verilog compiler and simulator
Icarus Verilog is a Verilog compiler that generates a variety of
engineering formats, including simulation. It strives to be true
to the IEEE-1364 standard.

Provides

Requires

License

GPLv2

Changelog

* Thu Jul 20 2023 Fedora Release Engineering <releng@fedoraproject.org> - 12.0-2
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_39_Mass_Rebuild
* Tue Feb 14 2023 Filipe Rosset <rosset.filipe@gmail.com> - 12.0-1
  - Update to 12.0 fixes rhbz#2156629
* Thu Jan 19 2023 Fedora Release Engineering <releng@fedoraproject.org> - 11.0-7
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_38_Mass_Rebuild
* Fri Aug 26 2022 Filipe Rosset <rosset.filipe@gmail.com> - 11.0-6
  - Fix FTBFS rhbz#1999455
* Thu Jul 21 2022 Fedora Release Engineering <releng@fedoraproject.org> - 11.0-5
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_37_Mass_Rebuild
* Thu Jan 20 2022 Fedora Release Engineering <releng@fedoraproject.org> - 11.0-4
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_36_Mass_Rebuild
* Thu Jul 22 2021 Fedora Release Engineering <releng@fedoraproject.org> - 11.0-3
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_35_Mass_Rebuild

Files

/usr/bin/iverilog
/usr/bin/iverilog-vpi
/usr/bin/vvp
/usr/include/_pli_types.h
/usr/include/acc_user.h
/usr/include/ivl_target.h
/usr/include/sv_vpi_user.h
/usr/include/veriuser.h
/usr/include/vpi_user.h
/usr/lib/.build-id
/usr/lib/.build-id/02
/usr/lib/.build-id/02/9d291e7458afe0b2cad08d6363c49706ae9207
/usr/lib/.build-id/07
/usr/lib/.build-id/07/7f91aba818900df095e5c6dc3901f2741fd77a
/usr/lib/.build-id/0d
/usr/lib/.build-id/0d/94d4eae71348c3c48a2d842860c50118fec527
/usr/lib/.build-id/1c
/usr/lib/.build-id/1c/52a923fa69a2fb65a7c3bab179d2d8cd21ce96
/usr/lib/.build-id/29
/usr/lib/.build-id/29/17046bce49a5834bd786f9b57af6492065f096
/usr/lib/.build-id/42
/usr/lib/.build-id/42/ab4d8e0ed51540566e469c016d3f60f67145e4
/usr/lib/.build-id/46
/usr/lib/.build-id/46/ca5f1ba30e4f766a95f7bf66c06027efc6017f
/usr/lib/.build-id/4a
/usr/lib/.build-id/4a/095f7c6bacb98eb35cc93a2f9a3c2ba1894671
/usr/lib/.build-id/4a/1348142b532dbb4285a446275347cd2f18b32c
/usr/lib/.build-id/52
/usr/lib/.build-id/52/c90c2b4ad7e19dc1ec9efe608bb3bf57070af2
/usr/lib/.build-id/54
/usr/lib/.build-id/54/1f87f97c08bd2b4f74ab7b77a657e452620700
/usr/lib/.build-id/5e
/usr/lib/.build-id/5e/5c0b6a593f13f0867e84a285a57eb9566dbc4a
/usr/lib/.build-id/74
/usr/lib/.build-id/74/41ab1f285a0684fb3f48b2a27d7b2f5457c867
/usr/lib/.build-id/89
/usr/lib/.build-id/89/82f8d19c7e57ad1eb1772f9f8428484af63ecb
/usr/lib/.build-id/8c
/usr/lib/.build-id/8c/7c13f25db1853e44cb1a3f3d3b01cfeb49a8a5
/usr/lib/.build-id/8d
/usr/lib/.build-id/8d/114de65827bd853a1015c023dd0e2b94f58173
/usr/lib/.build-id/ab
/usr/lib/.build-id/ab/87c740a1af9e329d789b583331425b93324db4
/usr/lib/.build-id/ba
/usr/lib/.build-id/ba/601c55455c76a494e9c5794b849cd68730cbe9
/usr/lib/.build-id/ca
/usr/lib/.build-id/ca/3276d57653944137220d737e1974bee3735e09
/usr/lib/.build-id/e3
/usr/lib/.build-id/e3/72dafb0982ed5a67d296aee5da39352f413493
/usr/lib/.build-id/e6
/usr/lib/.build-id/e6/14476947279db5d1f30b6d8efaed8760577179
/usr/lib64/ivl
/usr/lib64/ivl/blif-s.conf
/usr/lib64/ivl/blif.conf
/usr/lib64/ivl/blif.tgt
/usr/lib64/ivl/cadpli.vpl
/usr/lib64/ivl/include
/usr/lib64/ivl/include/constants.vams
/usr/lib64/ivl/include/disciplines.vams
/usr/lib64/ivl/ivl
/usr/lib64/ivl/ivlpp
/usr/lib64/ivl/null-s.conf
/usr/lib64/ivl/null.conf
/usr/lib64/ivl/null.tgt
/usr/lib64/ivl/pcb-s.conf
/usr/lib64/ivl/pcb.conf
/usr/lib64/ivl/pcb.tgt
/usr/lib64/ivl/sizer-s.conf
/usr/lib64/ivl/sizer.conf
/usr/lib64/ivl/sizer.tgt
/usr/lib64/ivl/stub-s.conf
/usr/lib64/ivl/stub.conf
/usr/lib64/ivl/stub.tgt
/usr/lib64/ivl/system.vpi
/usr/lib64/ivl/v2005_math.vpi
/usr/lib64/ivl/v2009.vpi
/usr/lib64/ivl/va_math.vpi
/usr/lib64/ivl/vhdl-s.conf
/usr/lib64/ivl/vhdl.conf
/usr/lib64/ivl/vhdl.tgt
/usr/lib64/ivl/vhdl_sys.vpi
/usr/lib64/ivl/vhdl_textio.vpi
/usr/lib64/ivl/vhdlpp
/usr/lib64/ivl/vlog95-s.conf
/usr/lib64/ivl/vlog95.conf
/usr/lib64/ivl/vlog95.tgt
/usr/lib64/ivl/vpi_debug.vpi
/usr/lib64/ivl/vvp-s.conf
/usr/lib64/ivl/vvp.conf
/usr/lib64/ivl/vvp.tgt
/usr/lib64/libveriuser.a
/usr/lib64/libvpi.a
/usr/share/doc/iverilog
/usr/share/doc/iverilog/BUGS.txt
/usr/share/doc/iverilog/QUICK_START.txt
/usr/share/doc/iverilog/attributes.txt
/usr/share/doc/iverilog/cadpli.txt
/usr/share/doc/iverilog/examples
/usr/share/doc/iverilog/examples/clbff.v
/usr/share/doc/iverilog/examples/des.v
/usr/share/doc/iverilog/examples/hello.vl
/usr/share/doc/iverilog/examples/hello_vpi.c
/usr/share/doc/iverilog/examples/hello_vpi.vl
/usr/share/doc/iverilog/examples/outff.v
/usr/share/doc/iverilog/examples/pal_reg.v
/usr/share/doc/iverilog/examples/show_vcd.vl
/usr/share/doc/iverilog/examples/sqrt-virtex.v
/usr/share/doc/iverilog/examples/sqrt.vl
/usr/share/doc/iverilog/examples/xnf_add.vl
/usr/share/doc/iverilog/examples/xram16x1.v
/usr/share/doc/iverilog/extensions.txt
/usr/share/doc/iverilog/fpga.txt
/usr/share/doc/iverilog/glossary.txt
/usr/share/doc/iverilog/ieee1364-notes.txt
/usr/share/doc/iverilog/mingw.txt
/usr/share/doc/iverilog/netlist.txt
/usr/share/doc/iverilog/swift.txt
/usr/share/doc/iverilog/t-dll.txt
/usr/share/doc/iverilog/va_math.txt
/usr/share/doc/iverilog/vpi.txt
/usr/share/doc/iverilog/xilinx-hint.txt
/usr/share/licenses/iverilog
/usr/share/licenses/iverilog/COPYING
/usr/share/man/man1/iverilog-vpi.1.gz
/usr/share/man/man1/iverilog.1.gz
/usr/share/man/man1/vvp.1.gz


Generated by rpm2html 1.8.1

Fabrice Bellet, Wed Apr 10 02:38:56 2024