Index index by Group index by Distribution index by Vendor index by creation date index by Name Mirrors Help Search

yosys-share-0.39-1.20240314gitb3124f3.fc39 RPM for noarch

From Fedora 39 updates for x86_64 / Packages / y

Name: yosys-share Distribution: Fedora Project
Version: 0.39 Vendor: Fedora Project
Release: 1.20240314gitb3124f3.fc39 Build date: Thu Mar 14 23:08:08 2024
Group: Unspecified Build host: buildhw-x86-07.iad2.fedoraproject.org
Size: 7400960 Source RPM: yosys-0.39-1.20240314gitb3124f3.fc39.src.rpm
Packager: Fedora Project
Url: http://www.clifford.at/yosys/
Summary: Architecture-independent Yosys files
Architecture-independent Yosys files.

Provides

Requires

License

ISC and MIT

Changelog

* Thu Mar 14 2024 Gabriel Somlo <gsomlo@gmail.com> - 0.39.1.20240314gitb3124f3
  - update to 0.39 snapshot
* Sat Feb 10 2024 Gabriel Somlo <gsomlo@gmail.com> - 0.38.1.20240210gitac0fb2e
  - update to 0.38 snapshot
* Sat Jan 27 2024 Fedora Release Engineering <releng@fedoraproject.org> - 0.37-2.20240117git6a7fad4
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_40_Mass_Rebuild
* Wed Jan 17 2024 Gabriel Somlo <gsomlo@gmail.com> - 0.37.1.20240117git6a7fad4
  - update to 0.37 snapshot
* Mon Dec 18 2023 Gabriel Somlo <gsomlo@gmail.com> - 0.36.1.20231218gitd87bd7c
  - update to 0.36 snapshot
* Wed Nov 08 2023 Gabriel Somlo <gsomlo@gmail.com> - 0.35.1.20231108git5691cd0
  - update to 0.35 snapshot
* Fri Oct 06 2023 Gabriel Somlo <gsomlo@gmail.com> - 0.34.1.20231006git8367f06
  - update to 0.34 snapshot
* Tue Sep 12 2023 Gabriel Somlo <gsomlo@gmail.com> - 0.33.1.20230905git05f0262
  - update to 0.33 snapshot
* Wed Aug 09 2023 Gabriel Somlo <gsomlo@gmail.com> - 0.32.1.20230809git389b8d0
  - update to 0.32 snapshot
  - switch abc [build]requires to yosyshq-abc
* Wed Aug 02 2023 Gabriel Somlo <gsomlo@gmail.com> - 0.31.2.20230729gitb04d0e0
  - drop i686 (https://fedoraproject.org/wiki/Changes/EncourageI686LeafRemoval)
* Sat Jul 29 2023 Gabriel Somlo <gsomlo@gmail.com> - 0.31.1.20230729gitb04d0e0
  - update to 0.31 snapshot
* Sat Jul 22 2023 Fedora Release Engineering <releng@fedoraproject.org> - 0.30-2.20230607git5813809
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_39_Mass_Rebuild
* Wed Jun 07 2023 Gabriel Somlo <gsomlo@gmail.com> - 0.30.1.20230607git5813809
  - update to 0.30 snapshot
* Thu May 11 2023 Gabriel Somlo <gsomlo@gmail.com> - 0.29.1.20230511gitd82bae3
  - update to 0.29 snapshot
* Sun Apr 23 2023 Gabriel Somlo <gsomlo@gmail.com> - 0.28.1.20230423git51dd029
  - update to 0.28 snapshot
* Tue Mar 07 2023 Gabriel Somlo <gsomlo@gmail.com> - 0.27.1.20230307gitb58664d
  - update to 0.27 snapshot
* Wed Feb 15 2023 Gabriel Somlo <gsomlo@gmail.com> - 0.26.1.20230215git1c667fa
  - update to 0.26 snapshot
* Sat Jan 21 2023 Fedora Release Engineering <releng@fedoraproject.org> - 0.25-2.20230104git7bac192
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_38_Mass_Rebuild
* Wed Jan 04 2023 Gabriel Somlo <gsomlo@gmail.com> - 0.25.1.20230104git7bac192
  - update to 0.25 snapshot
* Fri Dec 09 2022 Gabriel Somlo <gsomlo@gmail.com> - 0.24.1.20221209git7ad7b55
  - update to 0.24 snapshot
* Wed Nov 09 2022 Gabriel Somlo <gsomlo@gmail.com> - 0.23.1.20221109gitc75f12a
  - update to 0.23 snapshot
* Thu Oct 06 2022 Gabriel Somlo <gsomlo@gmail.com> - 0.22.1.20221006gitc4a52b1
  - update to 0.22 snapshot
* Mon Sep 12 2022 Gabriel Somlo <gsomlo@gmail.com> - 0.21.1.20220921gitd98738d
  - update to 0.21 snapshot
* Sun Aug 21 2022 Gabriel Somlo <gsomlo@gmail.com> - 0.20.1.20220821git029c278
  - update to 0.20 snapshot
* Sat Jul 23 2022 Fedora Release Engineering <releng@fedoraproject.org> - 0.19-2.20220705git086c2f3
  - Rebuilt for https://fedoraproject.org/wiki/Fedora_37_Mass_Rebuild
* Tue Jul 05 2022 Gabriel Somlo <gsomlo@gmail.com> - 0.19.1.20220705git086c2f3
  - update to 0.19 snapshot
* Sat Jun 11 2022 Gabriel Somlo <gsomlo@gmail.com> - 0.18.1.20220611gitb15a46c
  - update to 0.18 snapshot
* Mon May 09 2022 Gabriel Somlo <gsomlo@gmail.com> - 0.17.1.20220509git587e09d
  - update to 0.17 snapshot
* Thu Apr 07 2022 Gabriel Somlo <gsomlo@gmail.com> - 0.16.1.20220407git4da3f28
  - update to 0.16 snapshot

Files

/usr/share/yosys
/usr/share/yosys/abc9_map.v
/usr/share/yosys/abc9_model.v
/usr/share/yosys/abc9_unmap.v
/usr/share/yosys/achronix
/usr/share/yosys/achronix/speedster22i
/usr/share/yosys/achronix/speedster22i/cells_map.v
/usr/share/yosys/achronix/speedster22i/cells_sim.v
/usr/share/yosys/adff2dff.v
/usr/share/yosys/anlogic
/usr/share/yosys/anlogic/arith_map.v
/usr/share/yosys/anlogic/brams.txt
/usr/share/yosys/anlogic/brams_map.v
/usr/share/yosys/anlogic/cells_map.v
/usr/share/yosys/anlogic/cells_sim.v
/usr/share/yosys/anlogic/eagle_bb.v
/usr/share/yosys/anlogic/lutrams.txt
/usr/share/yosys/anlogic/lutrams_map.v
/usr/share/yosys/cells.lib
/usr/share/yosys/cmp2lcu.v
/usr/share/yosys/cmp2lut.v
/usr/share/yosys/cmp2softlogic.v
/usr/share/yosys/coolrunner2
/usr/share/yosys/coolrunner2/cells_counter_map.v
/usr/share/yosys/coolrunner2/cells_latch.v
/usr/share/yosys/coolrunner2/cells_sim.v
/usr/share/yosys/coolrunner2/tff_extract.v
/usr/share/yosys/coolrunner2/xc2_dff.lib
/usr/share/yosys/dff2ff.v
/usr/share/yosys/ecp5
/usr/share/yosys/ecp5/arith_map.v
/usr/share/yosys/ecp5/brams.txt
/usr/share/yosys/ecp5/brams_map.v
/usr/share/yosys/ecp5/cells_bb.v
/usr/share/yosys/ecp5/cells_ff.vh
/usr/share/yosys/ecp5/cells_io.vh
/usr/share/yosys/ecp5/cells_map.v
/usr/share/yosys/ecp5/cells_sim.v
/usr/share/yosys/ecp5/dsp_map.v
/usr/share/yosys/ecp5/latches_map.v
/usr/share/yosys/ecp5/lutrams.txt
/usr/share/yosys/ecp5/lutrams_map.v
/usr/share/yosys/efinix
/usr/share/yosys/efinix/arith_map.v
/usr/share/yosys/efinix/brams.txt
/usr/share/yosys/efinix/brams_map.v
/usr/share/yosys/efinix/cells_map.v
/usr/share/yosys/efinix/cells_sim.v
/usr/share/yosys/efinix/gbuf_map.v
/usr/share/yosys/fabulous
/usr/share/yosys/fabulous/arith_map.v
/usr/share/yosys/fabulous/cells_map.v
/usr/share/yosys/fabulous/ff_map.v
/usr/share/yosys/fabulous/io_map.v
/usr/share/yosys/fabulous/latches_map.v
/usr/share/yosys/fabulous/prims.v
/usr/share/yosys/fabulous/ram_regfile.txt
/usr/share/yosys/fabulous/regfile_map.v
/usr/share/yosys/gate2lut.v
/usr/share/yosys/gatemate
/usr/share/yosys/gatemate/arith_map.v
/usr/share/yosys/gatemate/brams.txt
/usr/share/yosys/gatemate/brams_init_20.vh
/usr/share/yosys/gatemate/brams_init_40.vh
/usr/share/yosys/gatemate/brams_map.v
/usr/share/yosys/gatemate/cells_bb.v
/usr/share/yosys/gatemate/cells_sim.v
/usr/share/yosys/gatemate/inv_map.v
/usr/share/yosys/gatemate/lut_map.v
/usr/share/yosys/gatemate/lut_tree_cells.genlib
/usr/share/yosys/gatemate/lut_tree_map.v
/usr/share/yosys/gatemate/mul_map.v
/usr/share/yosys/gatemate/mux_map.v
/usr/share/yosys/gatemate/reg_map.v
/usr/share/yosys/gowin
/usr/share/yosys/gowin/arith_map.v
/usr/share/yosys/gowin/brams.txt
/usr/share/yosys/gowin/brams_map.v
/usr/share/yosys/gowin/cells_map.v
/usr/share/yosys/gowin/cells_sim.v
/usr/share/yosys/gowin/cells_xtra.v
/usr/share/yosys/gowin/lutrams.txt
/usr/share/yosys/gowin/lutrams_map.v
/usr/share/yosys/greenpak4
/usr/share/yosys/greenpak4/cells_blackbox.v
/usr/share/yosys/greenpak4/cells_latch.v
/usr/share/yosys/greenpak4/cells_map.v
/usr/share/yosys/greenpak4/cells_sim.v
/usr/share/yosys/greenpak4/cells_sim_ams.v
/usr/share/yosys/greenpak4/cells_sim_digital.v
/usr/share/yosys/greenpak4/cells_sim_wip.v
/usr/share/yosys/greenpak4/gp_dff.lib
/usr/share/yosys/ice40
/usr/share/yosys/ice40/abc9_model.v
/usr/share/yosys/ice40/arith_map.v
/usr/share/yosys/ice40/brams.txt
/usr/share/yosys/ice40/brams_map.v
/usr/share/yosys/ice40/cells_map.v
/usr/share/yosys/ice40/cells_sim.v
/usr/share/yosys/ice40/dsp_map.v
/usr/share/yosys/ice40/ff_map.v
/usr/share/yosys/ice40/latches_map.v
/usr/share/yosys/ice40/spram.txt
/usr/share/yosys/ice40/spram_map.v
/usr/share/yosys/intel
/usr/share/yosys/intel/common
/usr/share/yosys/intel/common/altpll_bb.v
/usr/share/yosys/intel/common/brams_m9k.txt
/usr/share/yosys/intel/common/brams_map_m9k.v
/usr/share/yosys/intel/common/ff_map.v
/usr/share/yosys/intel/common/m9k_bb.v
/usr/share/yosys/intel/cyclone10lp
/usr/share/yosys/intel/cyclone10lp/cells_map.v
/usr/share/yosys/intel/cyclone10lp/cells_sim.v
/usr/share/yosys/intel/cycloneiv
/usr/share/yosys/intel/cycloneiv/cells_map.v
/usr/share/yosys/intel/cycloneiv/cells_sim.v
/usr/share/yosys/intel/cycloneive
/usr/share/yosys/intel/cycloneive/cells_map.v
/usr/share/yosys/intel/cycloneive/cells_sim.v
/usr/share/yosys/intel/max10
/usr/share/yosys/intel/max10/cells_map.v
/usr/share/yosys/intel/max10/cells_sim.v
/usr/share/yosys/intel_alm
/usr/share/yosys/intel_alm/common
/usr/share/yosys/intel_alm/common/abc9_map.v
/usr/share/yosys/intel_alm/common/abc9_model.v
/usr/share/yosys/intel_alm/common/abc9_unmap.v
/usr/share/yosys/intel_alm/common/alm_map.v
/usr/share/yosys/intel_alm/common/alm_sim.v
/usr/share/yosys/intel_alm/common/arith_alm_map.v
/usr/share/yosys/intel_alm/common/bram_m10k.txt
/usr/share/yosys/intel_alm/common/bram_m10k_map.v
/usr/share/yosys/intel_alm/common/bram_m20k.txt
/usr/share/yosys/intel_alm/common/bram_m20k_map.v
/usr/share/yosys/intel_alm/common/dff_map.v
/usr/share/yosys/intel_alm/common/dff_sim.v
/usr/share/yosys/intel_alm/common/dsp_map.v
/usr/share/yosys/intel_alm/common/dsp_sim.v
/usr/share/yosys/intel_alm/common/lutram_mlab.txt
/usr/share/yosys/intel_alm/common/megafunction_bb.v
/usr/share/yosys/intel_alm/common/mem_sim.v
/usr/share/yosys/intel_alm/common/misc_sim.v
/usr/share/yosys/intel_alm/common/quartus_rename.v
/usr/share/yosys/intel_alm/cyclonev
/usr/share/yosys/intel_alm/cyclonev/cells_sim.v
/usr/share/yosys/lattice
/usr/share/yosys/lattice/arith_map_ccu2c.v
/usr/share/yosys/lattice/arith_map_ccu2d.v
/usr/share/yosys/lattice/brams_16kd.txt
/usr/share/yosys/lattice/brams_8kc.txt
/usr/share/yosys/lattice/brams_map_16kd.v
/usr/share/yosys/lattice/brams_map_8kc.v
/usr/share/yosys/lattice/ccu2c_sim.vh
/usr/share/yosys/lattice/ccu2d_sim.vh
/usr/share/yosys/lattice/cells_bb_ecp5.v
/usr/share/yosys/lattice/cells_bb_xo2.v
/usr/share/yosys/lattice/cells_bb_xo3.v
/usr/share/yosys/lattice/cells_bb_xo3d.v
/usr/share/yosys/lattice/cells_ff.vh
/usr/share/yosys/lattice/cells_io.vh
/usr/share/yosys/lattice/cells_map.v
/usr/share/yosys/lattice/cells_sim_ecp5.v
/usr/share/yosys/lattice/cells_sim_xo2.v
/usr/share/yosys/lattice/cells_sim_xo3.v
/usr/share/yosys/lattice/cells_sim_xo3d.v
/usr/share/yosys/lattice/common_sim.vh
/usr/share/yosys/lattice/dsp_map_18x18.v
/usr/share/yosys/lattice/latches_map.v
/usr/share/yosys/lattice/lutrams.txt
/usr/share/yosys/lattice/lutrams_map.v
/usr/share/yosys/mul2dsp.v
/usr/share/yosys/nexus
/usr/share/yosys/nexus/arith_map.v
/usr/share/yosys/nexus/brams.txt
/usr/share/yosys/nexus/brams_map.v
/usr/share/yosys/nexus/cells_map.v
/usr/share/yosys/nexus/cells_sim.v
/usr/share/yosys/nexus/cells_xtra.v
/usr/share/yosys/nexus/dsp_map.v
/usr/share/yosys/nexus/latches_map.v
/usr/share/yosys/nexus/lrams.txt
/usr/share/yosys/nexus/lrams_map.v
/usr/share/yosys/nexus/lutrams.txt
/usr/share/yosys/nexus/lutrams_map.v
/usr/share/yosys/nexus/parse_init.vh
/usr/share/yosys/pmux2mux.v
/usr/share/yosys/python3
/usr/share/yosys/python3/smtio.py
/usr/share/yosys/python3/ywio.py
/usr/share/yosys/quicklogic
/usr/share/yosys/quicklogic/common
/usr/share/yosys/quicklogic/common/cells_sim.v
/usr/share/yosys/quicklogic/pp3
/usr/share/yosys/quicklogic/pp3/abc9_map.v
/usr/share/yosys/quicklogic/pp3/abc9_model.v
/usr/share/yosys/quicklogic/pp3/abc9_unmap.v
/usr/share/yosys/quicklogic/pp3/cells_map.v
/usr/share/yosys/quicklogic/pp3/cells_sim.v
/usr/share/yosys/quicklogic/pp3/ffs_map.v
/usr/share/yosys/quicklogic/pp3/latches_map.v
/usr/share/yosys/quicklogic/pp3/lut_map.v
/usr/share/yosys/quicklogic/qlf_k6n10f
/usr/share/yosys/quicklogic/qlf_k6n10f/TDP18K_FIFO.v
/usr/share/yosys/quicklogic/qlf_k6n10f/arith_map.v
/usr/share/yosys/quicklogic/qlf_k6n10f/bram_types_sim.v
/usr/share/yosys/quicklogic/qlf_k6n10f/brams_map.v
/usr/share/yosys/quicklogic/qlf_k6n10f/brams_sim.v
/usr/share/yosys/quicklogic/qlf_k6n10f/cells_sim.v
/usr/share/yosys/quicklogic/qlf_k6n10f/dsp_final_map.v
/usr/share/yosys/quicklogic/qlf_k6n10f/dsp_map.v
/usr/share/yosys/quicklogic/qlf_k6n10f/dsp_sim.v
/usr/share/yosys/quicklogic/qlf_k6n10f/ffs_map.v
/usr/share/yosys/quicklogic/qlf_k6n10f/libmap_brams.txt
/usr/share/yosys/quicklogic/qlf_k6n10f/libmap_brams_map.v
/usr/share/yosys/quicklogic/qlf_k6n10f/sram1024x18_mem.v
/usr/share/yosys/quicklogic/qlf_k6n10f/ufifo_ctl.v
/usr/share/yosys/sf2
/usr/share/yosys/sf2/arith_map.v
/usr/share/yosys/sf2/cells_map.v
/usr/share/yosys/sf2/cells_sim.v
/usr/share/yosys/simcells.v
/usr/share/yosys/simlib.v
/usr/share/yosys/smtmap.v
/usr/share/yosys/techmap.v
/usr/share/yosys/xilinx
/usr/share/yosys/xilinx/abc9_model.v
/usr/share/yosys/xilinx/arith_map.v
/usr/share/yosys/xilinx/brams_defs.vh
/usr/share/yosys/xilinx/brams_xc2v.txt
/usr/share/yosys/xilinx/brams_xc2v_map.v
/usr/share/yosys/xilinx/brams_xc3sda.txt
/usr/share/yosys/xilinx/brams_xc3sda_map.v
/usr/share/yosys/xilinx/brams_xc4v.txt
/usr/share/yosys/xilinx/brams_xc4v_map.v
/usr/share/yosys/xilinx/brams_xc5v_map.v
/usr/share/yosys/xilinx/brams_xc6v_map.v
/usr/share/yosys/xilinx/brams_xcu_map.v
/usr/share/yosys/xilinx/brams_xcv.txt
/usr/share/yosys/xilinx/brams_xcv_map.v
/usr/share/yosys/xilinx/cells_map.v
/usr/share/yosys/xilinx/cells_sim.v
/usr/share/yosys/xilinx/cells_xtra.v
/usr/share/yosys/xilinx/ff_map.v
/usr/share/yosys/xilinx/lut_map.v
/usr/share/yosys/xilinx/lutrams_xc5v.txt
/usr/share/yosys/xilinx/lutrams_xc5v_map.v
/usr/share/yosys/xilinx/lutrams_xcu.txt
/usr/share/yosys/xilinx/lutrams_xcv.txt
/usr/share/yosys/xilinx/lutrams_xcv_map.v
/usr/share/yosys/xilinx/mux_map.v
/usr/share/yosys/xilinx/urams.txt
/usr/share/yosys/xilinx/urams_map.v
/usr/share/yosys/xilinx/xc3s_mult_map.v
/usr/share/yosys/xilinx/xc3sda_dsp_map.v
/usr/share/yosys/xilinx/xc4v_dsp_map.v
/usr/share/yosys/xilinx/xc5v_dsp_map.v
/usr/share/yosys/xilinx/xc6s_dsp_map.v
/usr/share/yosys/xilinx/xc7_dsp_map.v
/usr/share/yosys/xilinx/xcu_dsp_map.v


Generated by rpm2html 1.8.1

Fabrice Bellet, Mon Apr 22 00:43:23 2024