Index index by Group index by Distribution index by Vendor index by creation date index by Name Mirrors Help Search

iverilog-11.0-1.mga8 RPM for armv7hl

From Mageia 8 for armv7hl / media / core / release

Name: iverilog Distribution: Mageia
Version: 11.0 Vendor: Mageia.Org
Release: 1.mga8 Build date: Sat Oct 17 18:52:29 2020
Group: Development/Other Build host: localhost
Size: 5706725 Source RPM: iverilog-11.0-1.mga8.src.rpm
Packager: martinw <martinw>
Url: http://iverilog.icarus.com/
Summary: Icarus Verilog is a Verilog compiler and simulator
Icarus Verilog is a Verilog compiler that generates a variety of
engineering formats, including simulation. It strives to be true
to the IEEE-1364 standard and supports a subset of the IEEE-1800
standard.

Provides

Requires

License

GPLv2

Changelog

* Sat Oct 17 2020 martinw <martinw> 11.0-1.mga8
  + Revision: 1636645
  - new version 11.0
* Mon Aug 17 2020 martinw <martinw> 10.3-4.mga8
  + Revision: 1614596
  - upstream bug fixes (incl. fixing build with bison 3.7)
* Tue Feb 18 2020 umeabot <umeabot> 10.3-3.mga8
  + Revision: 1542177
  - Mageia 8 Mass Rebuild
  + wally <wally>
  - replace deprecated %configure2_5x
* Sat Jan 04 2020 luigiwalser <luigiwalser> 10.3-2.mga8
  + Revision: 1475972
  - rebuild for readline
* Tue Oct 08 2019 daviddavid <daviddavid> 10.3-1.mga8
  + Revision: 1450503
  - new version: 10.3

Files

/usr/bin/iverilog
/usr/bin/iverilog-vpi
/usr/bin/vvp
/usr/include/iverilog
/usr/include/iverilog/_pli_types.h
/usr/include/iverilog/acc_user.h
/usr/include/iverilog/ivl_target.h
/usr/include/iverilog/sv_vpi_user.h
/usr/include/iverilog/veriuser.h
/usr/include/iverilog/vpi_user.h
/usr/lib/.build-id
/usr/lib/.build-id/06
/usr/lib/.build-id/06/50b0bd71bae60b6fe5fa6169cb26d1dcedca9f
/usr/lib/.build-id/12
/usr/lib/.build-id/12/138e4ed00bbdde96a72c0d2e503fd9d2618cce
/usr/lib/.build-id/12/7d822089fa112e543ed4bc31e208153666821e
/usr/lib/.build-id/27
/usr/lib/.build-id/27/244e6744918ac23ddce1168c61b6deb2948ecb
/usr/lib/.build-id/29
/usr/lib/.build-id/29/321486f6b0623813b4f0005769e3fd1e9807c0
/usr/lib/.build-id/29/a94178e390aa8ef514c8039969f0030644cc95
/usr/lib/.build-id/47
/usr/lib/.build-id/47/3d5f558911f10b9d815c2152dbb5448651a6aa
/usr/lib/.build-id/4b
/usr/lib/.build-id/4b/863a8df770c05e327d00912bc6ef7e924a75d1
/usr/lib/.build-id/6d
/usr/lib/.build-id/6d/83d4e9e418c97dfd95e7e056dc32e44e2acf21
/usr/lib/.build-id/8e
/usr/lib/.build-id/8e/2703d1a152a43520672fc5b4d518238ebfcd32
/usr/lib/.build-id/93
/usr/lib/.build-id/93/075dfb59aec79cc882bc33b2cf9cf8f31f0b6e
/usr/lib/.build-id/96
/usr/lib/.build-id/96/08c6b6cb340885d3b82fa22c7cf0dd689a3c0b
/usr/lib/.build-id/9a
/usr/lib/.build-id/9a/271f903ebdab02c3f766e786eae0097d8d6a2c
/usr/lib/.build-id/a5
/usr/lib/.build-id/a5/0ebf81b33113e21c01fe271ad725673278c9b5
/usr/lib/.build-id/b4
/usr/lib/.build-id/b4/6b477119dbcaea6869713a199667a6ff0424c3
/usr/lib/.build-id/d2
/usr/lib/.build-id/d2/82222efe660d4b6973f516973bfdf6c257606c
/usr/lib/.build-id/d8
/usr/lib/.build-id/d8/e97447318bf17e765d267d8320ea1856120bf4
/usr/lib/.build-id/e2
/usr/lib/.build-id/e2/dc169fe435f739c833850a31f21188c6bb8aa4
/usr/lib/.build-id/e4
/usr/lib/.build-id/e4/85e0e5a8b49765d6996f7c22594d20b6e2448e
/usr/lib/.build-id/eb
/usr/lib/.build-id/eb/a638abd415fb59807f8151aaf5b633637d116b
/usr/lib/.build-id/f7
/usr/lib/.build-id/f7/eb663c715a78b44a115a2dfd9451a51c5a385a
/usr/lib/ivl
/usr/lib/ivl/blif-s.conf
/usr/lib/ivl/blif.conf
/usr/lib/ivl/blif.tgt
/usr/lib/ivl/cadpli.vpl
/usr/lib/ivl/include
/usr/lib/ivl/include/constants.vams
/usr/lib/ivl/include/disciplines.vams
/usr/lib/ivl/ivl
/usr/lib/ivl/ivlpp
/usr/lib/ivl/null-s.conf
/usr/lib/ivl/null.conf
/usr/lib/ivl/null.tgt
/usr/lib/ivl/pcb-s.conf
/usr/lib/ivl/pcb.conf
/usr/lib/ivl/pcb.tgt
/usr/lib/ivl/sizer-s.conf
/usr/lib/ivl/sizer.conf
/usr/lib/ivl/sizer.tgt
/usr/lib/ivl/stub-s.conf
/usr/lib/ivl/stub.conf
/usr/lib/ivl/stub.tgt
/usr/lib/ivl/system.vpi
/usr/lib/ivl/v2005_math.vpi
/usr/lib/ivl/v2009.vpi
/usr/lib/ivl/va_math.vpi
/usr/lib/ivl/vhdl-s.conf
/usr/lib/ivl/vhdl.conf
/usr/lib/ivl/vhdl.tgt
/usr/lib/ivl/vhdl_sys.vpi
/usr/lib/ivl/vhdl_textio.vpi
/usr/lib/ivl/vhdlpp
/usr/lib/ivl/vlog95-s.conf
/usr/lib/ivl/vlog95.conf
/usr/lib/ivl/vlog95.tgt
/usr/lib/ivl/vpi_debug.vpi
/usr/lib/ivl/vvp-s.conf
/usr/lib/ivl/vvp.conf
/usr/lib/ivl/vvp.tgt
/usr/lib/libveriuser.a
/usr/lib/libvpi.a
/usr/share/doc/iverilog
/usr/share/doc/iverilog/BUGS.txt
/usr/share/doc/iverilog/COPYING
/usr/share/doc/iverilog/README.txt
/usr/share/doc/iverilog/attributes.txt
/usr/share/doc/iverilog/cadpli.txt
/usr/share/doc/iverilog/examples
/usr/share/doc/iverilog/examples/clbff.v
/usr/share/doc/iverilog/examples/des.v
/usr/share/doc/iverilog/examples/hello.vl
/usr/share/doc/iverilog/examples/hello_vpi.c
/usr/share/doc/iverilog/examples/hello_vpi.vl
/usr/share/doc/iverilog/examples/outff.v
/usr/share/doc/iverilog/examples/pal_reg.v
/usr/share/doc/iverilog/examples/show_vcd.vl
/usr/share/doc/iverilog/examples/sqrt-virtex.v
/usr/share/doc/iverilog/examples/sqrt.vl
/usr/share/doc/iverilog/examples/xnf_add.vl
/usr/share/doc/iverilog/examples/xram16x1.v
/usr/share/doc/iverilog/extensions.txt
/usr/share/doc/iverilog/fpga.txt
/usr/share/doc/iverilog/glossary.txt
/usr/share/doc/iverilog/ieee1364-notes.txt
/usr/share/doc/iverilog/netlist.txt
/usr/share/doc/iverilog/swift.txt
/usr/share/doc/iverilog/t-dll.txt
/usr/share/doc/iverilog/va_math.txt
/usr/share/doc/iverilog/vpi.txt
/usr/share/doc/iverilog/xilinx-hint.txt
/usr/share/man/man1/iverilog-vpi.1.xz
/usr/share/man/man1/iverilog.1.xz
/usr/share/man/man1/vvp.1.xz


Generated by rpm2html 1.8.1

Fabrice Bellet, Tue Apr 9 16:03:47 2024